3D TSV Devices Market Size and Share

3D TSV Devices Market (2025 - 2030)
Image © Mordor Intelligence. Reuse requires attribution under CC BY 4.0.

3D TSV Devices Market Analysis by Mordor Intelligence

The 3D TSV Devices market size reached USD 7.30 billion in 2025 and is projected to reach USD 9.84 billion by 2030, reflecting a 6.15% CAGR over the forecast period. Sustained demand from high-performance computing, AI accelerators, and advanced driver-assistance systems keeps capacity fully loaded, while government subsidies in the United States, Europe, and South Korea accelerate fab expansions. Logic–memory co-packaging, hybrid bonding, and chiplet-ready interposer designs are eliminating bandwidth bottlenecks and reducing TSV pitch to below 20 microns. Tool orders for deep reactive ion etch and copper fill remain elevated despite tighter environmental rules on fluorinated chemistries. Competitive intensity is rising as outsourced assembly and test (OSAT) houses race integrated device manufacturers (IDMs) to secure long-term contracts with hyperscalers and tier-one automotive suppliers. Small but rapidly growing white-space segments, such as silicon photonics co-packaging and implantable medical sensors, provide additional headroom for value creation.

Key Report Takeaways

  • By product type, memory led the 3D TSV devices market with a 46.3% market share in 2024; MEMS and sensors are expected to advance at a 8.71% CAGR through 2030.
  • By TSV technology, via-middle contributed 54.8% of revenue of the 3D TSV devices market in 2024, while via-first is projected to expand at 7.83% CAGR to 2030.
  • By wafer size, 300 mm substrates accounted for 58.8% of the 3D TSV devices market size in 2024; the 450 mm segment is growing at an 8.01% CAGR.
  • By end-user, IT and telecommunications accounted for 38.02% of the 3D TSV devices market in 2024, whereas the automotive segment is the fastest-growing, with a 9.31% CAGR.
  • By geography, the Asia-Pacific dominated the 3D TSV devices market, accounting for 43.12% of global revenue in 2024 and growing at an 8.73% CAGR to 2030. North America followed, boosted by USD 6.165 billion in CHIPS Act funding, which is expected to drive TSV packaging onshore.

Segment Analysis

By Product Type: Memory Dominance Anchors Revenue Base

Memory devices captured 46.3% of the 3D TSV devices market in 2024 as HBM became the de facto high-bandwidth solution for AI accelerators. The 3D TSV devices market size for MEMS and sensors is projected to expand at an 8.71% CAGR to 2030, reflecting the adoption of automotive radar and inertial units. Imaging and optoelectronics benefit from via-last TSV, enabling Sony’s back-illuminated sensors that reach 90% quantum efficiency in near-IR. LED suppliers are using via-first TSV to power micro-LED displays, although yields below 60% delay mass deployment.

Other products, such as power management ICs and RF front-ends, utilize TSV to minimize inductance. Qualcomm’s QTM565 mmWave module hits 10 Gb/s in 1 cm³ packages, while Bosch’s BMA580 accelerometer stacks MEMS and ASIC dies for 1 µA standby current. These examples demonstrate how the 3D TSV devices industry expands beyond memory, even as HBM establishes the revenue floor.

3D TSV Devices Market: Market Share by Product Type
Image © Mordor Intelligence. Reuse requires attribution under CC BY 4.0.

Note: Segment shares of all individual segments available upon report purchase

Get Detailed Market Forecasts at the Most Granular Levels
Download PDF

By TSV Technology: Via-First Gains Traction in Chiplet Designs

Via-middle held 54.8% of the revenue in 2024, due to the maturity of DRAM and CIS; however, via-first is growing at a 7.83% CAGR, as chiplet-based dies demand sub-1 µm overlay accuracy. Intel’s Foveros line hits 36 µm pitch today and targets 10 µm by 2026, unlocking >1 Tbit/s/mm² vertical bandwidth. 

Via-last remains critical for sensors, keeping pixel fill factors above 95%. Hybrid bonding across all three approaches doubles interconnect density and will dominate after 2026, cementing TSV’s role as the backbone of the 3D TSV devices market.

By Wafer Size: 300 mm Substrates Anchor Volume Production

Wafers at 300 mm represented 58.8% of volume in 2024, supported by over 120 qualified fabs worldwide. The 3D TSV devices market size for 450 mm remains small but is growing at an 8.01% CAGR as TSMC and Samsung validate their pilot lines. 

Intel redirected its 450 mm budget to advanced packaging, confirming industry consensus that TSV plus chiplets yield better ROIC. Sub-200 mm lines linger for GaN and SiC power devices, where TSV enables vertical conduction.

3D TSV Devices Market: Market Share by Wafer Size
Image © Mordor Intelligence. Reuse requires attribution under CC BY 4.0.

Note: Segment shares of all individual segments available upon report purchase

Get Detailed Market Forecasts at the Most Granular Levels
Download PDF

By End-User Industry: Automotive Segment Accelerates Fastest

IT and telecom retained a 38.02% share in 2024, while automotive climbed fastest at a 9.31% CAGR, driven by EV domain controllers that integrate ADAS, infotainment, and battery management. 

Consumer electronics sustain demand for stacked CIS and LPDDR, while healthcare pursues TSV-enabled implants under FDA-approved paths. Aerospace and defense rely on radiation-hardened TSV memory for a total dose tolerance of over 100 krad. Together, these verticals diversify revenue streams across the 3D TSV devices market.

Geography Analysis

Asia-Pacific held 43.12% of revenue in 2024 and is expanding at 8.73% CAGR, fueled by TSMC’s >70% share of CoWoS capacity, Samsung’s 45% grip on HBM, and SK Hynix’s end-to-end integration in Icheon. Japan’s JPY 920 billion subsidy brings advanced packaging to Kumamoto by 2026, serving Sony and Denso. China’s YMTC eyes TSV for 3D NAND controller stacking, but export curbs slow scaling. South Korea’s KRW 26 trillion tax incentives underwrite 50 new TSV etch chambers at SK Hynix. India attracts USD 2.75 billion from Micron for a Gujarat OSAT facility starting 2026, sealing Asia’s position as the epicenter of the 3D TSV devices market.

North America captured roughly 28% in 2024. Micron won USD 6.165 billion to build HBM fabs in New York and Idaho under the CHIPS Act.[4]U.S. Department of Commerce, “CHIPS for America Awards,” commerce.gov Amkor’s USD 2 billion Arizona plant is scheduled to open in 2027, processing 300 mm TSV packages for the automotive and defense industries. Intel’s New Mexico and Arizona expansions triple Foveros capacity by 2026, while Canada invests CAD 240 million in Ottawa’s co-packaged optics pilot line. Near-shoring prompts Texas Instruments and NXP to relocate fan-out assembly to Mexico, although TSV tools remain scarce in the region.

Europe owned about 18% in 2024. STMicroelectronics secured EUR 2.9 billion to scale 300 mm TSV lines in France. Infineon qualified via-middle TSV for GaN power devices in Dresden, cutting on-resistance by 35%. Fraunhofer IZM has achieved a pitch of 0 µm via pibrid bonding pion lots, and the U.K. invested GBP 50 million in a GaN TSV line for high-temperature EV inverters. South America and MEA together account for <5%, though Brazil and the UAE signal post-2027 capacity adds.

3D TSV Devices Market CAGR (%), Growth Rate by Region
Image © Mordor Intelligence. Reuse requires attribution under CC BY 4.0.
Get Analysis on Important Geographic Markets
Download PDF

Competitive Landscape

Market concentration is moderate to high, with the top five players accounting for roughly 75% of the value. TSMC alone garners more than 70% of advanced packaging for high-performance computing, securing wins from NVIDIA, AMD, and Broadcom. Samsung and SK Hynix collectively supply 85% of HBM, leveraging vertical stacks to lock customers into multi-year deals. Micron is closing the gap via the CHIPS Act–funded capacity for 2027.

OSAT majors ASE, Amkor, and JCET expand 300mm fan-out and TSV lines to attract fabless chiplet designers. ASE’s ISO 26262-certified Kaohsiung campus now supports automotive AI SoCs. Amkor broke ground on a trusted-supply facility in Arizona to serve defense contracts. JCET and Siliconware Precision Industries push adaptive patterning to cut micro-bump cost.

White-space opportunities emerge in silicon photonics co-packaging; Cisco and Intel need TSV interposers for 1.6 Tb/s Ethernet, a gap that Broadcom’s Tomahawk 5 already exploits. Start-ups such as Adeia license direct bond interconnect IP to Samsung and TSMC, dropping via pitch to 10 µm. Capital intensity and TSV chemistry patents still pose entry barriers, preserving incumbents’ pricing power across the 3D TSV devices market.

3D TSV Devices Industry Leaders

  1. Taiwan Semiconductor Manufacturing Company Limited

  2. Samsung Electronics Co., Ltd.

  3. Intel Corporation

  4. Micron Technology, Inc.

  5. SK hynix Inc.

  6. *Disclaimer: Major Players sorted in no particular order
3d tsv devices market
Image © Mordor Intelligence. Reuse requires attribution under CC BY 4.0.
Need More Details on Market Players and Competitors?
Download PDF

Recent Industry Developments

  • April 2025: SK Hynix unveiled 12-high HBM4 samples, which exceed 2 Tbit/s bandwidth; mass production is scheduled to start late 2026.
  • March 2025: Amkor began building its USD 2 billion Arizona TSV plant, which received USD 407 million in CHIPS funding, with an anticipated opening in 2027.
  • February 2025: Samsung has earmarked KRW 3 trillion for hybrid-bonding HBM4 lines in Pyeongtaek, with pilot runs scheduled to begin late 2025.
  • January 2025: Intel said Foveros Direct capacity will triple by 2026 via USD 3.5 billion investments in New Mexico and Arizona.
  • December 2024: TSMC announced a USD 2.8 billion CoWoS expansion to 60k wafers/month by late 2025.

Table of Contents for 3D TSV Devices Industry Report

1. INTRODUCTION

  • 1.1 Study Assumptions and Market Definition
  • 1.2 Scope of the Study

2. RESEARCH METHODOLOGY

3. EXECUTIVE SUMMARY

4. MARKET LANDSCAPE

  • 4.1 Market Overview
  • 4.2 Market Drivers
    • 4.2.1 Growing demand for high-performance computing and AI workloads
    • 4.2.2 Expansion of data centers driving high-bandwidth memory adoption
    • 4.2.3 Rapid miniaturisation in smartphones and consumer electronics
    • 4.2.4 Chiplet-based heterogeneous integration architectures
    • 4.2.5 Silicon photonics’ need for 3D interposer stacking
    • 4.2.6 Government subsidies for advanced packaging fabs
  • 4.3 Market Restraints
    • 4.3.1 High unit cost of 3D TSV packages
    • 4.3.2 Thermal-induced reliability and yield challenges
    • 4.3.3 Supply-chain bottlenecks for TSV etch and fill tools
    • 4.3.4 Stricter environmental rules on TSV chemistries
  • 4.4 Industry Value Chain Analysis
  • 4.5 Regulatory Landscape
  • 4.6 Technological Outlook
  • 4.7 Impact of Macroeconomic Factors
  • 4.8 Porter's Five Forces Analysis
    • 4.8.1 Bargaining Power of Suppliers
    • 4.8.2 Bargaining Power of Consumers
    • 4.8.3 Threat of New Entrants
    • 4.8.4 Intensity of Competitive Rivalry
    • 4.8.5 Threat of Substitutes

5. MARKET SIZE AND GROWTH FORECASTS (VALUE)

  • 5.1 By Product Type
    • 5.1.1 Imaging and Opto-Electronics
    • 5.1.2 Memory
    • 5.1.3 MEMS / Sensors
    • 5.1.4 LED
    • 5.1.5 Other Products
  • 5.2 By TSV Technology
    • 5.2.1 Via-Middle TSV
    • 5.2.2 Via-Last TSV
    • 5.2.3 Via-First TSV
  • 5.3 By Wafer Size
    • 5.3.1 ≤200mm
    • 5.3.2 300 mm
    • 5.3.3 450 mm
  • 5.4 By End-User Industry
    • 5.4.1 Consumer Electronics
    • 5.4.2 Automotive
    • 5.4.3 IT and Telecom
    • 5.4.4 Healthcare
    • 5.4.5 Aerospace and Defence
    • 5.4.6 Other End-User Industries
  • 5.5 By Geography
    • 5.5.1 North America
    • 5.5.1.1 United States
    • 5.5.1.2 Canada
    • 5.5.1.3 Mexico
    • 5.5.2 South America
    • 5.5.2.1 Brazil
    • 5.5.2.2 Argentina
    • 5.5.2.3 Rest of South America
    • 5.5.3 Europe
    • 5.5.3.1 Germany
    • 5.5.3.2 United Kingdom
    • 5.5.3.3 France
    • 5.5.3.4 Italy
    • 5.5.3.5 Spain
    • 5.5.3.6 Russia
    • 5.5.3.7 Rest of Europe
    • 5.5.4 Asia-Pacific
    • 5.5.4.1 China
    • 5.5.4.2 Japan
    • 5.5.4.3 India
    • 5.5.4.4 South Korea
    • 5.5.4.5 Australia
    • 5.5.4.6 Rest of Asia-Pacific
    • 5.5.5 Middle East and Africa
    • 5.5.5.1 Middle East
    • 5.5.5.1.1 Saudi Arabia
    • 5.5.5.1.2 United Arab Emirates
    • 5.5.5.1.3 Turkey
    • 5.5.5.1.4 Rest of Middle East
    • 5.5.5.2 Africa
    • 5.5.5.2.1 South Africa
    • 5.5.5.2.2 Nigeria
    • 5.5.5.2.3 Egypt
    • 5.5.5.2.4 Rest of Africa

6. COMPETITIVE LANDSCAPE

  • 6.1 Market Concentration
  • 6.2 Strategic Moves
  • 6.3 Market Share Analysis
  • 6.4 Company Profiles (includes Global level Overview, Market level overview, Core Segments, Financials as available, Strategic Information, Market Rank/Share for key companies, Products and Services, and Recent Developments)
    • 6.4.1 Taiwan Semiconductor Manufacturing Company Limited
    • 6.4.2 Samsung Electronics Co., Ltd.
    • 6.4.3 Intel Corporation
    • 6.4.4 Micron Technology, Inc.
    • 6.4.5 SK hynix Inc.
    • 6.4.6 Toshiba Electronic Devices and Storage Corporation
    • 6.4.7 ASE Technology Holding Co., Ltd.
    • 6.4.8 Amkor Technology, Inc.
    • 6.4.9 United Microelectronics Corporation
    • 6.4.10 STMicroelectronics N.V.
    • 6.4.11 Broadcom Inc.
    • 6.4.12 Texas Instruments Incorporated
    • 6.4.13 GlobalFoundries Inc.
    • 6.4.14 Advanced Micro Devices, Inc.
    • 6.4.15 Qualcomm Incorporated
    • 6.4.16 JCET Group Co., Ltd.
    • 6.4.17 Powertech Technology Inc.
    • 6.4.18 Siliconware Precision Industries Co., Ltd.
    • 6.4.19 Xilinx, Inc. (AMD Adaptive and Embedded Computing Group)
    • 6.4.20 Pure Storage, Inc.

7. MARKET OPPORTUNITIES AND FUTURE OUTLOOK

  • 7.1 White-space and unmet-need assessment
You Can Purchase Parts Of This Report. Check Out Prices For Specific Sections
Get Price Break-up Now

Global 3D TSV Devices Market Report Scope

The 3D TSV Devices Market Report segments the market by various criteria: Product Type (including Imaging and Opto-Electronics, Memory, MEMS/Sensors, LED, and Other Products), TSV Technology (Via-Middle TSV, Via-Last TSV, and Via-First TSV), Wafer Size (≤200 mm, 300 mm, and 450 mm), End-User Industry (spanning Consumer Electronics, Automotive, IT and Telecom, Healthcare, Aerospace and Defence, and Other Industries), and Geography (covering North America [United States, Canada, Mexico], South America [Brazil, Argentina, Rest of South America], Europe [Germany, United Kingdom, France, Italy, Spain, Russia, Rest of Europe], Asia Pacific [China, Japan, India, South Korea, Australia, Rest of Asia Pacific], and Middle East and Africa [Middle East – Saudi Arabia, United Arab Emirates, Turkey, Rest of Middle East; Africa – South Africa, Nigeria, Egypt, Rest of Africa]). The market forecasts are expressed in terms of value (USD).

By Product Type
Imaging and Opto-Electronics
Memory
MEMS / Sensors
LED
Other Products
By TSV Technology
Via-Middle TSV
Via-Last TSV
Via-First TSV
By Wafer Size
≤200mm
300 mm
450 mm
By End-User Industry
Consumer Electronics
Automotive
IT and Telecom
Healthcare
Aerospace and Defence
Other End-User Industries
By Geography
North America United States
Canada
Mexico
South America Brazil
Argentina
Rest of South America
Europe Germany
United Kingdom
France
Italy
Spain
Russia
Rest of Europe
Asia-Pacific China
Japan
India
South Korea
Australia
Rest of Asia-Pacific
Middle East and Africa Middle East Saudi Arabia
United Arab Emirates
Turkey
Rest of Middle East
Africa South Africa
Nigeria
Egypt
Rest of Africa
By Product Type Imaging and Opto-Electronics
Memory
MEMS / Sensors
LED
Other Products
By TSV Technology Via-Middle TSV
Via-Last TSV
Via-First TSV
By Wafer Size ≤200mm
300 mm
450 mm
By End-User Industry Consumer Electronics
Automotive
IT and Telecom
Healthcare
Aerospace and Defence
Other End-User Industries
By Geography North America United States
Canada
Mexico
South America Brazil
Argentina
Rest of South America
Europe Germany
United Kingdom
France
Italy
Spain
Russia
Rest of Europe
Asia-Pacific China
Japan
India
South Korea
Australia
Rest of Asia-Pacific
Middle East and Africa Middle East Saudi Arabia
United Arab Emirates
Turkey
Rest of Middle East
Africa South Africa
Nigeria
Egypt
Rest of Africa
Need A Different Region or Segment?
Customize Now

Key Questions Answered in the Report

How fast is global demand for high-bandwidth memory growing?

HBM revenue doubled in 2024 and is driving a 6.15% CAGR for the overall 3D TSV market through 2030.

Which TSV technology is gaining the most traction in chiplet designs?

Via-first TSV is forecast to expand 7.83% CAGR as base dies demand sub-1 µm overlay accuracy.

Why is automotive considered the fastest-growing vertical?

Electric-vehicle domain controllers need stacked sensor-fusion processors, pushing automotive TSV demand at 9.31% CAGR.

What role do government incentives play in capacity expansion?

CHIPS Act awards in the U.S. and similar programs in Europe and Asia underwrite multi-billion-dollar TSV fabs, accelerating on-shore supply.

How concentrated is supplier power in advanced packaging?

Five players control roughly 75% of revenue, giving the sector a concentration score of 7 on a 10-point scale.

When will 450 mm TSV production reach meaningful scale?

Pilot lines exist today, but mainstream 450 mm adoption is unlikely before 2028 as tooling ecosystems mature.

Page last updated on:

3D TSV Devices Market Report Snapshots