Photoresist Market Size and Share
Photoresist Market Analysis by Mordor Intelligence
The Photoresist Market size is estimated at USD 2.60 billion in 2025, and is expected to reach USD 4.48 billion by 2030, at a CAGR of 11.49% during the forecast period (2025-2030). The widespread deployment of extreme-ultraviolet (EUV) lithography at sub-10-nanometer dimensions, the fast-growing demand for artificial-intelligence (AI) accelerators, and government-funded fab incentives underpin this expansion. Semiconductor manufacturers in Taiwan, South Korea, and the United States are increasingly favoring metal-oxide and dry photoresists that deliver higher EUV absorption and lower line-edge roughness, thereby boosting throughput and reducing per-layer resist usage. Parallel momentum in 5G and Internet-of-Things (IoT) devices sustains demand for mature-node G-line, I-line, and KrF materials. Meanwhile, advanced packaging architectures—such as chiplets, fan-out wafer-level packaging, and co-packaged optics—require thick-film resists that are compatible with copper pillar and through-silicon-via (TSV) structures. Supply-chain risks remain elevated because three Japanese suppliers collectively account for roughly 60% of advanced resists, prompting regional diversification and localized capacity additions in North America and Europe.
Key Report Takeaways
- By resist type, ArF immersion held 32.4% of the Photoresist market share in 2024, whereas EUV metal-oxide and dry resists are projected to expand at a 13.12% CAGR through 2030.
- By tone, positive resists commanded 72.3% revenue share in 2024; negative resists post the fastest 11.56% CAGR to 2030.
- By application, semiconductors and ICs accounted for 55.6% of the Photoresist market size in 2024, while advanced packaging is set to grow at a 12.12% CAGR through 2030.
- By end-user industry, electronics and electricals accounted for 62.10% of the market size in 2024, while automotive and mobility is set to grow with the fastest CAGR of 12.02% through 2030.
- By geography, Asia-Pacific held the largest share of 72.8% of the market in 2024. However, North America is expected to witness the fastest growth at the CAGR of 11.67% during the forecast period.
Global Photoresist Market Trends and Insights
Drivers Impact Analysis
| Driver | (~) % Impact on CAGR Forecast | Geographic Relevance | Impact Timeline |
|---|---|---|---|
| Demand from semiconductor & AI accelerators | +3.2% | Taiwan, South Korea, United States, global spill-over | Medium term (2–4 years) |
| EUV lithography adoption & High-NA roadmap | +2.8% | Asia-Pacific core; North America & Europe follow | Long term (≥ 4 years) |
| 5G/IoT device-driven wafer starts | +2.1% | Asia-Pacific manufacturing hubs | Short term (≤ 2 years) |
| US/EU fab-incentive programs | +1.9% | North America and European Union | Medium term (2–4 years) |
| Dry-deposited metal-oxide resists | +1.5% | Leading-edge fabs in Taiwan & South Korea | Long term (≥ 4 years) |
| Source: Mordor Intelligence | |||
Growing Demand from Semiconductor and AI Accelerators
Chipmakers producing hardware for training large language models and powering inference workloads now pattern multiple redistribution layers, interposers, and TSVs for each device. NVIDIA’s H100 and forthcoming Blackwell series adopt chiplet layouts that consume three to five times more photoresist per finished part than previous monolithic GPUs[1]NVIDIA, “H100 Tensor Core GPU Architecture,” nvidia.com. Taiwan Semiconductor Manufacturing Company’s (TSMC) expansion of CoWoS capacity lifts thick-film resist of up to 50 µm, while advanced copper pillar plating uses negative-tone formulations optimized for aspect ratios above 3:1. Volume gains occur first in Taiwan and South Korea but ripple into new fabs in the United States by 2027.
Accelerated EUV Lithography Adoption and High-NA Roadmap
ASML’s 0.55-NA EUV scanners enable single-exposure patterning with an 8 nm pitch, eliminating costly multiple-patterning steps. To meet the tighter line-edge roughness target of below 1.5 nm, fabs qualify metal-oxide resists based on hafnium and zirconium clusters that absorb EUV 9 times more effectively than organic formulations [2]SPIE, “Advances in Metal-Oxide EUV Photoresists,” spie.org. The dose can be reduced from 40 mJ/cm² to sub-20 mJ/cm², increasing throughput and lowering the cost per wafer. Pilot runs at Samsung’s Pyeongtaek lines in 2025 suggest commercial adoption at 2 nm nodes in 2026.
5G/IoT Device Proliferation Expanding Wafer Starts
Global subscriptions to 5G surpassed 2 billion in 2025, pushing demand for RF front-end modules produced on gallium arsenide and silicon carbide wafers that rely on G-line and KrF resists. IoT sensors, many of which deploy micro-electromechanical systems (MEMS), require thick positive resists to define high-aspect-ratio structures. China aims to achieve 25% mature-node global capacity by 2025; SMIC and Hua Hong are ramping up 28 nm and 40 nm lines to serve the automotive and industrial electronics sectors, thereby further expanding the photoresist market.
Government Fab-Incentive Programs (US/EU Chips Acts)
The United States CHIPS and Science Act allocates USD 52.7 billion in grants and tax incentives, catalyzing Intel’s Ohio fabs, TSMC’s Arizona campus, and Samsung’s Texas facility. The EU’s Chips Act aims for a 20% global production share by 2030, funding Intel’s expansion in Ireland and prospective plants in Germany. Japan’s 5 trillion-yen program supports domestic production at TSMC’s Kumamoto site, reinforcing local chemical supply and advancing nationalist material strategies.
Restraints Impact Analysis
| Restraint | (~) % Impact on CAGR Forecast | Geographic Relevance | Impact Timeline |
|---|---|---|---|
| Stringent HSE rules on solvents & photo-acid generators | –1.8% | European Union; United States; Japan | Short term (≤ 2 years) |
| Supply-chain concentration & export-control exposure | –1.4% | China; import-dependent regions | Medium term (2–4 years) |
| EU REACH & F-gas phase-out of fluorinated solvents | –0.9% | European Union; global impact on formulations | Long term (≥ 4 years) |
| Source: Mordor Intelligence | |||
Stringent HSE Regulations on Solvents and Photo-Acid Generators
The European Union’s 2024 revision to Regulation (EU) 2024/573 schedules a phase-down of hydrofluorocarbon usage by 90% before 2045. Many EUV photoresists now employ perfluorinated photoacid generators and solvents, which are subject to registration, evaluation, and authorization. Compliance requires analytical verification of impurity levels below 1 ppb, which drives up quality-control costs and forces formulators to test alternative chemistries that may risk lower performance.
Supply-Chain Concentration and Export-Control Exposure
Japanese suppliers JSR, Tokyo Ohka Kogyo, and Shin-Etsu Chemical together supply more than 70% of advanced EUV-grade resists. U.S. export-control updates in 2025 extended licensing to specialized photo-acid generators critical for sub-10 nm patterning, constraining Chinese foundries. Although China funds domestic producers, such as Beijing Kehua New Chemical, the technology gap remains five years or more, exposing import-dependent fabs to potential shortages.
Segment Analysis
By Resist Type: Metal-Oxide Innovation Drives EUV Transition
ArF immersion formulations retained a 32.4% share of the photoresist market size in 2024, thanks to sustained demand for 7 nm and 5 nm volumes at TSMC, Samsung, and Intel. Conversely, EUV metal-oxide and dry resists are projected to post a 13.12% CAGR, generating the largest incremental value as fabs transition to 2 nm logic. Hafnium-based clusters deliver etch resistance exceeding 25 times that of organic polymers, enabling direct transfer into dielectric layers without a hard mask and thus shortening the process flow.
Lam Research’s dry-deposited resist eliminates spin waste and cuts chemical consumption by up to 90%. With mass production targeted for 2026, this platform could shift material revenue from wet-chemistry suppliers toward equipment-integrated delivery. KrF, G-line, and I-line resists remain essential for automotive and industrial nodes, anchoring a steady base revenue even as advanced nodes dominate growth.
Note: Segment shares of all individual segments available upon report purchase
By Tone: Negative Resists Gain Traction in Advanced Applications
Positive-tone materials dominated the photoresist market with a 72.3% share in 2024. Negative-tone resists, particularly epoxy-based and metal-oxide systems, are forecast to rise at 11.56% CAGR through 2030 as demand for high-thickness layers in advanced packaging surges.
Negative resists withstand high current densities during copper-pillar plating and achieve aspect ratios exceeding 3:1, which is critical for fine-pitch bump formation. Emerging dual-tone tin-oxide platforms offer process flexibility by switching tone with dose control; early tests at imec show sub-16 nm resolution at 20 mJ/cm² exposure.
By Application: Advanced Packaging Surges on AI Demand
Semiconductors and ICs captured 55.6% of the overall photoresist market size in 2024. Advanced packaging posts the fastest 12.12% CAGR, lifted by chiplet architectures that require multiple redistribution layers, TSVs, and wafer-level underfill patterning.
Fan-out wafer-level packaging combines high-density routing with thin-profile assemblies, demanding thick-film negative resists that can be spin-coated in a single pass up to 50 µm. Co-packaged optics for data centers incorporate silicon-photonic waveguide formation into the lithography flow, creating new demand for low-temperature-cure resists that are compatible with III-V materials.
By End-User Industry: Automotive Electronics Accelerate Growth
Electronics and electricals retained 62.1% of the revenue in 2024, but automotive and mobility applications are projected to grow at a 12.02% CAGR due to electric-vehicle (EV) adoption and autonomous-driving sensor proliferation.
EV power modules rely on silicon-carbide devices processed above 400 °C, requiring high-temperature-resilient resists. Radar and LiDAR assemblies integrate MEMS mirrors and indium-phosphide lasers, both fabricated with specialized resists that offer vertical sidewalls and dimensional tolerances of ≤ 100 nm.
Note: Segment shares of all individual segments available upon report purchase
Geography Analysis
The Asia-Pacific region accounted for 72.8% of global revenue in 2024, driven by dense logic capacity in Taiwan and South Korea, as well as the expansion of mature-node fabs in China. TSMC’s 2nm ramp and high-performance computing package lines consume increasing volumes of EUV and thick-film materials, while Samsung’s 3D NAND capacity drives demand for high-selectivity KrF resists. Japan’s equipment ecosystem, Tokyo Electron coating tracks, and Nikon steppers, support domestic suppliers, creating a tightly integrated supply chain.
North America is forecast to record an 11.67% CAGR through 2030 as the CHIPS Act stimulates the construction of new greenfield fabs. Intel’s Ohio campus, TSMC’s Arizona site, and Samsung’s Texas facility together add more than 300,000 wpm of leading-edge capacity by 2028, underpinning local resist and ancillary chemical demand. DuPont’s Colorado expansion and Dow’s Michigan expansion aim to supply the region and reduce trans-Pacific logistics risk.
Europe faces stringent REACH compliance, which raises formulation costs, yet benefits from Intel’s large-scale investment in Ireland and prospective fabs in Germany. Local material suppliers, Merck KGaA and BASF, utilize their regulatory expertise to secure qualification for EUV lines. Eastern European countries court packaging and test operations, further diversifying the regional footprint.
Middle East & Africa and South America remain nascent but pursue specialty packaging and MEMS manufacturing, particularly for telecom base-stations and automotive sensors. Regional governments offer tax credits to attract backend assembly, bringing incremental photoresist volumes for G-line and I-line processes while advanced nodes stay concentrated elsewhere.
Competitive Landscape
The Photoresist market is consolidated. JSR’s USD 6.4 billion buyout by Japan Industrial Partners consolidates assets and funds EUV metal-oxide R&D, positioning the group to contest DuPont’s dominance in negative-tone thick-film lines. Tokyo Ohka Kogyo invests in U.S. production to align with CHIPS Act incentives, while Shin-Etsu Chemical leverages in-house fluorochemical capabilities to develop low-outgassing EUV resists. Environmental compliance is becoming a key differentiator. FUJIFILM launched fluorine-free developers compatible with EUV resists, while Merck KGaA pilots bio-based solvents. Strategic stockpiling and dual-sourcing agreements serve as a hedge against export-control shocks, particularly for fabs operating in geopolitically sensitive regions.
Photoresist Industry Leaders
-
DuPont
-
JSR Corporation
-
TOKYO OHKA KOGYO CO., LTD.
-
Shin-Etsu Chemical Co., Ltd.
-
FUJIFILM Corporation
- *Disclaimer: Major Players sorted in no particular order
Recent Industry Developments
- May 2025: Asahi Kasei Corporation, responding to the surging demand for advanced semiconductor packages, particularly in applications such as artificial intelligence (AI) servers, has launched the TA Series of Sunfort dry film photoresist.
- February 2025: Sumitomo Chemical Co., Ltd. announced plans to expand its photoresist development and quality evaluation facilities for front-end and back-end semiconductor manufacturing processes at its Osaka Works in Japan.
Global Photoresist Market Report Scope
Photoresists are light-sensitive polymeric resins formulated for use in a photolithographic process, where they serve as masking materials for the transferal of images into an underlying substrate via etching processes. The growing demand for photoresists in semiconductors, which eventually find application in the electronics and automobile industries, is propelling market growth. The photoresist market is segmented by type, application, end-user industry, and geography. By type, the market is segmented into ArF immersion, ArF dry film, KrF, G-line, I-line, and other types. By application, the market is segmented into semiconductors, liquid-crystal displays, printed circuit boards, and other applications. By end-user industry, the market is segmented into electricals and electronics, automobiles, packaging, and other end-user industries. The report also covers the market size and forecasts for the photoresist market in 15 countries across major regions. For each segment, the market sizing and forecasts have been done on the basis of value (USD million).
| ArF Immersion |
| ArF Dry |
| KrF |
| G-Line |
| I-Line |
| EUV Metal-Oxide and Dry Resists |
| Other Types |
| Positive |
| Negative |
| Semiconductors and ICs |
| Advanced Packaging (Fan-Out WLP, RDL) |
| Flat-Panel Displays (LCD/OLED) |
| Printed Circuit Boards |
| MEMS and Sensors |
| Other Applications |
| Electronics and Electricals |
| Automotive and Mobility |
| Aerospace and Defense |
| Consumer Packaged Goods (Packaging) |
| Other Industries |
| Asia-Pacific | China |
| Japan | |
| South Korea | |
| Taiwan | |
| India | |
| Rest of Asia-Pacific | |
| North America | United States |
| Canada | |
| Mexico | |
| Europe | Germany |
| United Kingdom | |
| France | |
| Italy | |
| Russia | |
| Rest of Europe | |
| South America | Brazil |
| Argentina | |
| Rest of South America | |
| Middle-East and Africa | Saudi Arabia |
| United Arab Emirates | |
| South Africa | |
| Rest of Middle-East and Africa |
| By Resist Type | ArF Immersion | |
| ArF Dry | ||
| KrF | ||
| G-Line | ||
| I-Line | ||
| EUV Metal-Oxide and Dry Resists | ||
| Other Types | ||
| By Tone | Positive | |
| Negative | ||
| By Application | Semiconductors and ICs | |
| Advanced Packaging (Fan-Out WLP, RDL) | ||
| Flat-Panel Displays (LCD/OLED) | ||
| Printed Circuit Boards | ||
| MEMS and Sensors | ||
| Other Applications | ||
| By End-User Industry | Electronics and Electricals | |
| Automotive and Mobility | ||
| Aerospace and Defense | ||
| Consumer Packaged Goods (Packaging) | ||
| Other Industries | ||
| By Geography | Asia-Pacific | China |
| Japan | ||
| South Korea | ||
| Taiwan | ||
| India | ||
| Rest of Asia-Pacific | ||
| North America | United States | |
| Canada | ||
| Mexico | ||
| Europe | Germany | |
| United Kingdom | ||
| France | ||
| Italy | ||
| Russia | ||
| Rest of Europe | ||
| South America | Brazil | |
| Argentina | ||
| Rest of South America | ||
| Middle-East and Africa | Saudi Arabia | |
| United Arab Emirates | ||
| South Africa | ||
| Rest of Middle-East and Africa | ||
Key Questions Answered in the Report
How large is the photoresist market in 2025?
The photoresist market size reached USD 2.60 Billion in 2025 and is on track for steady expansion.
What CAGR is expected for photoresists through 2030?
Global revenue is projected to grow at an 11.49% CAGR between 2025 and 2030.
Which resist type is growing fastest?
EUV metal-oxide and dry resists lead growth with a 13.12% CAGR forecast through 2030.
Why is advanced packaging important for photoresists?
Chiplet and fan-out wafer-level designs require multiple thick-film lithography steps, raising photoresist consumption at a 12.12% CAGR.
Which region is expanding quickest?
North America posts the highest 11.67% CAGR, propelled by CHIPS Act-funded fabs in the United States.
Page last updated on: