Extreme Ultraviolet Lithography Market Size & Share Analysis - Growth Trends & Forecasts (2024 - 2029)

The Extreme Ultraviolet Lithography Market is segmented by Product Type (Light Sources, Mirrors, Masks), Type (Foundry, Integrated Device Manufacturers (IDMs)), and Geography.

EUV Lithography Market Size

Extreme Ultraviolet Lithography Market Summary
Study Period 2019 - 2029
Base Year For Estimation 2023
Market Size (2024) USD 10.34 Billion
Market Size (2029) USD 17.81 Billion
CAGR (2024 - 2029) 11.50 %
Market Concentration High

Major Players

Extreme Ultraviolet Lithography Market Major Players

*Disclaimer: Major Players sorted in no particular order

setting-icon

Need a report that reflects how COVID-19 has impacted this market and its growth?

EUV Lithography Market Analysis

The EUV Lithography Market size is estimated at USD 10.34 billion in 2024, and is expected to reach USD 17.81 billion by 2029, growing at a CAGR of 11.5% during the forecast period (2024-2029).

EUV lithography makes the usage of light with a wavelength of just 13.5 nm, which is a reduction of almost 14 times the wavelength of the other lithography techniques in advanced chipmaking, Deep Ultraviolet lithography, which uses 193 nm light. ASML, which is the dominant player in the market, has been exploring ways to reduce transistor sizes and has made considerable strides in the field of EUV lithography, which enables more precise and efficient production of semiconductors at small transistor scales around 7nm node size or even 5nm.

  • As semiconductor geometries tend to grow smaller and smaller, the adoption of EUV lithography technology has become extremely important, as it enables scaling down of intricate patterns on wafers by providing an optimal and efficient choice for next-generation applications, including 5G, AI, and Automotive. EUV technology allows the chip makers to keep driving chip scaling as the shorter wavelength of EUV light is capable of printing the nanometer-scale features of the designs related to the advanced techniques.
  • TSMC's Extreme Ultraviolet (EUV) tools are expected to reach production maturity, with tool availability already reaching target goals for high-volume production and output power of greater than 250 watts for daily operations. Chipmakers are banking on EUV at 7nm, 5nm, and beyond for leading-edge logic, and today there are no other options available. The next-generation lithography technologies are not ready and can not be applied at 7nm and 5nm scales. At 3nm and beyond, chipmakers hope to use high-NA EUV, but several challenges have yet to be overcome in developing this technology.
  • TSMC has also been planning to start the volume production of the 3-nm products by 2022. Samsung Electronics Co. Ltd, another major player in the market, aims to overtake TSMC by 2030 with its extreme ultraviolet (EUV) lithography technology. TSMC, previously in Dec 2019, announced that the company would be starting to supply 5 Nm process-based chips in the first half of 2020 and will be starting mass production of 3-nm process chips in 2022. The company also anticipates producing 2-nm process products by 2024.
  • ASML faced difficulty exporting its equipment due to COVID-19, negatively affecting major global semiconductor producers, including Samsung Electronics and TSMC. A delay in the company's equipment delivery is forcing the two companies to change their strategic development and production roadmaps. Due to a delay in the delivery of the company's equipment, the two companies have to alter their strategic development and production plans. TSMC has postponed the test production of 3-nm semiconductors. Samsung Electronics, meantime, had hoped to commence commercial production of 5-nm semiconductors in 2020 but was unable to do so until late 2021.

EUV Lithography Market Trends

This section covers the major market trends shaping the EUV Lithography Market according to our research experts:

Foundry is Expected to Hold Major Share

  • TSMC is planning to dominate the global foundry market by starting the volume production of 3-nm products in 2022. Samsung Electronics Co, Ltd, the runner-up in the market, is aiming to overtake TSMC in 2030 with its extreme ultraviolet (EUV) lithography technology. TSMC announced in December 2019 that the company would start to supply 5-nm process-based chips in the first half of 2020 and start the mass production of 3-nm process chips in 2022. The company also expects o produce 2-nm process products in 2024.
  • Samsung is facing a series of challenges in the market. For instance, the supply of photoresists for EUV lithography purposes can be subject to Japan's export restrictions. Besides, a significant number of companies are trying to compete with Samsung Electronics. Chinese and Taiwanese semiconductor companies are increasingly collaborating. Samsung Electronics has unveiled a series of new microfabrication techniques such as FinFET, gate-all-around, and multi-bridge-channel FET to compete with the increasing competitors.
  • In February 2021, Samsung Foundry filed documents with authorities in Arizona, New York, and Texas seeking to build a leading-edge semiconductor manufacturing facility in the United States. The potential fab near Austin, Texas, is expected to cost over USD 17 billion and create 1,800 jobs. If everything goes as planned, the foundry will go online by the fourth quarter of 2023. There is an intrigue about the new fab, though the company did not state which process node it will be designed for.
  • Vendors, such as Taiwan Semiconductor Manufacturing Co. (TSMC) and United Microelectronics Corp. (UMC), announced that they have been focusing on relocating their production to meet the demand from automakers, such as Volkswagen and Toyota, among others. In addition, the demand coming from companies, such as Qualcomm and Apple, has been creating delays in the supply of semiconductors. According to a new survey by Automotive News (April 2021), 53% of the respondents suggested that they source their chips from outside the United States, and 55% of the manufacturers have been looking for alternative chip sources outside the country.
  • Such factors have been leading to the entry of new companies into the market studied. For instance, recently, Intel announced the launch of a new business Intel Foundry Services, to meet the demand for automotive manufacturers. Similarly, in March 2021, Global Foundries announced that it has been planning to invest USD 1.4 billion for capacity expansion in different parts of the world to support automakers cutting output, such as Ford, Volkswagen, and General Motors.
Extreme Ultraviolet Lithography Market - Adoption Rate of Autonomous Vehicles in Percentage (%) (2020 - 2022)

Asia Pacific is Expected to Hold Major Share

  • The Asia Pacific continues to hold the largest revenue share in the global market for Extreme Ultraviolet (EUV) lithography. Taiwan is expected to have an edge in the region over the forecast period. TSMC's expansion in Taiwan and its increasing investments in the EUV lithography technology is among the most critical factors instrumental in the growth of the Extreme Ultraviolet lithography market in Taiwan. The country accounts for the majority revenue share of the market for EUV lithography in the Asia Pacific region. Apart from Taiwan, the Extreme Ultraviolet lithography markets in Japan, China, and South Korea are expected to create significant opportunities for stakeholders in the coming years.
  • Chinese semiconductor makers are also utilizing used chipmaking machines as they rush to produce homegrown products amid US-Sino trade tensions, driving up equipment prices in Japan's secondary market. Japanese used equipment dealers say prices are up by 20% from last year. Older-generation machines are not restricted by the United States sanctions on China, giving Chinese players unfettered access.
  • The stay-at-home trend spurred by the coronavirus pandemic is also a factor. As chip demand rises worldwide, even equipment that is not the most up-to-date is selling at a brisk pace. This, in turn, could prolong the shortage of semiconductors used in automobiles.
  • ASML's new training complex will likely be beneficial to both companies. While the firm is Europe's largest semiconductor machinery brand, the Taiwanese manufacturer is its biggest customer. Asian Nikkei Review states that 20 of the 35 EUV systems ASML intends to ship this year are going to TSMC. The Dutch concern is setting up future sales by familiarizing more of the chipmaker's staff with its tools. ASML also plans on opening a new research and development facility in Taiwan, which will bring its local headcount over 500 by 2023.
Extreme Ultraviolet Lithography Market - Worldwide Chip Sales - In Billions (2020 - 2022)

EUV Lithography Industry Overview

The Extreme Ultraviolet Lithography Market is highly consolidated as ASML is the only manufacturer of lithography machines that use extreme ultraviolet light. The company manufactures and sells its tools to some global semiconductor fabricators, including Intel, Samsung, and Taiwan Semiconductor Manufacturing Company (TSMC). Almost 25% of the company's revenues are generated by sales of EUV lithography systems, which reflects the company's monopoly in the manufacturing and commercialization of EUV lithography systems.

  • December 2021: ASML, a hot stock in Europe, is working on a new version of their extreme ultraviolet lithography equipment, which is used to carve patterns into chunks of silicon that produce the world's most sophisticated processors. Samsung, TSMC, and Intel use the company's current EUV equipment to create chips for the next generation of computers and smartphones.
  • March 2021: Samsung is increasing its EUV scanner production to compete with TSMC, the world's largest foundry. EUV scanners, unlike traditional machines, may streamline the chip fabrication process by lowering the number of photolithography procedures necessary to generate finer circuits, causing major chipmakers to compete for the technology.

EUV Lithography Market Leaders

  1. ASML Holding NV

  2. Intel Corporation

  3. Samsung Electronics Co. Ltd

  4. Taiwan Semiconductor Manufacturing Company Limited

  5. Toppan Photomasks Inc.

*Disclaimer: Major Players sorted in no particular order

Extreme Ultraviolet Lithography Market Concentration
Need More Details on Market Players and Competitors?
Download PDF

EUV Lithography Market News

  • January 2022: Intel has stated that it will begin employing ASML's High-NA Twinscan EXE scanners for high-volume manufacturing (HVM) in 2025, when the firm hopes to begin using its 18A (1.8 nm) production technique. With the first generation of extreme ultraviolet (EUV) lithography technology, Intel is obviously behind rivals TSMC and Samsung, but it intends to be the first to deploy the next-generation EUV tools.
  • October 2021: Samsung Electronics said on Tuesday that it had launched the mass production of 14-nanometer (nm) DRAM, which will be produced using extreme ultraviolet (EUV) lithography. When compared to the prior Arf laser lithography, EUV lithography allows semiconductor makers to sketch finer circuit designs on the wafer.

EUV Lithography Market Report - Table of Contents

  1. 1. INTRODUCTION

    1. 1.1 Study Assumptions and Market Definition

    2. 1.2 Scope of the Study

  2. 2. RESEARCH METHODOLOGY

  3. 3. EXECUTIVE SUMMARY

  4. 4. MARKET INSIGHTS

    1. 4.1 Market Overview

    2. 4.2 Industry Value Chain Analysis

    3. 4.3 Industry Attractiveness - Porter's Five Forces Analysis

      1. 4.3.1 Bargaining Power of Suppliers

      2. 4.3.2 Bargaining Power of Buyers

      3. 4.3.3 Threat of New Entrants

      4. 4.3.4 Threat of Substitute Products

      5. 4.3.5 Intensity of Competitive Rivalry

    4. 4.4 Assessment of COVID-19 Impact on the Industry

  5. 5. MARKET DYNAMICS

    1. 5.1 Market Drivers

      1. 5.1.1 The technology resulting in a faster output of microchips compared to others

      2. 5.1.2 Chip makers reducing the manufacturing process for better efficiency

    2. 5.2 Market Restraints

      1. 5.2.1 High cost involved in replacing the existing Deep UV with EUV lasers

      2. 5.2.2 Monopoly existing within the market

    3. 5.3 Technology Snapshot

  6. 6. MARKET SEGMENTATION

    1. 6.1 Product Type

      1. 6.1.1 Light Sources

      2. 6.1.2 Mirrors

      3. 6.1.3 Masks

    2. 6.2 Type

      1. 6.2.1 Foundry

      2. 6.2.2 Integrated Device Manufacturers (IDMs)

    3. 6.3 Geography

      1. 6.3.1 South Korea

      2. 6.3.2 Taiwan

      3. 6.3.3 Others

  7. 7. COMPETITIVE LANDSCAPE

    1. 7.1 Company Profiles

      1. 7.1.1 ASML Holding NV

      2. 7.1.2 NTT Advanced Technology Corporation

      3. 7.1.3 Canon Inc.

      4. 7.1.4 Nikon Corporation

      5. 7.1.5 Intel Corporation

      6. 7.1.6 Taiwan Semiconductor Manufacturing Company Limited

      7. 7.1.7 Samsung Electronics Co. Ltd

      8. 7.1.8 Toppan Photomasks Inc.

      9. 7.1.9 Ushio, Inc.

    2. *List Not Exhaustive
  8. 8. INVESTMENT ANALYSIS

  9. 9. FUTURE OF THE MARKET

**Subject to Availability
You Can Purchase Parts Of This Report. Check Out Prices For Specific Sections
Get Price Break-up Now

EUV Lithography Industry Segmentation

Extreme Ultraviolet (EUV) lithography uses a EUV light of an extremely short wavelength of 13.5 nm. It allows exposure of delicate circuit patterns with a half-pitch below 20 nm that the conventional optical lithography cannot expose. Putting the technology into practical use requires a variety of element technologies, including the light source, optics, masks, photoresist, and lithography tools.

The Extreme Ultraviolet Lithography Market is segmented by Product Type (Light Sources, Mirrors, Masks), Ens-User (Foundry, Integrated Device Manufacturers), and Geography.

Product Type
Light Sources
Mirrors
Masks
Type
Foundry
Integrated Device Manufacturers (IDMs)
Geography
South Korea
Taiwan
Others
Need A Different Region Or Segment?
Customize Now

EUV Lithography Market Research FAQs

The EUV Lithography Market size is expected to reach USD 10.34 billion in 2024 and grow at a CAGR of 11.5% to reach USD 17.81 billion by 2029.

In 2024, the EUV Lithography Market size is expected to reach USD 10.34 billion.

ASML Holding NV, Intel Corporation, Samsung Electronics Co. Ltd, Taiwan Semiconductor Manufacturing Company Limited and Toppan Photomasks Inc. are the major companies operating in the EUV Lithography Market.

In 2023, the EUV Lithography Market size was estimated at USD 9.27 billion. The report covers the EUV Lithography Market historical market size for years: 2019, 2020, 2021, 2022 and 2023. The report also forecasts the EUV Lithography Market size for years: 2024, 2025, 2026, 2027, 2028 and 2029.

EUV Lithography Industry Report

Statistics for the 2024 EUV Lithography market share, size and revenue growth rate, created by Mordor Intelligence™ Industry Reports. EUV Lithography analysis includes a market forecast outlook to 2029 and historical overview. Get a sample of this industry analysis as a free report PDF download.

80% of our clients seek made-to-order reports. How do you want us to tailor yours?

Please enter a valid email id!

Please enter a valid message!

Extreme Ultraviolet Lithography Market Size & Share Analysis - Growth Trends & Forecasts (2024 - 2029)